Please wait a minute...
JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE)
    
Simulation approach of hybrid optical electrical on chip interconnects for multicore systems
YU Ji yang, LIU Peng, HUA Xing cheng, MA Xiang, YANG Jian yi
College of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, 310027, China
Download:   PDF(1978KB) HTML
Export: BibTeX | EndNote (RIS)      

Abstract  

A simulation approach of optical electrical interconnects for multicore systems was proposed to address the accuracy of simulation for optical electrical on chip interconnects of multicore systems, to support  the system simulation, and to study and evaluate hybrid optical electrical on chip interconnects and microarchitectures.  The optical devices and electrical devices were accurately modeled, and the device library worked cooperatively with the functional model. timing model, and cost model. A cycle synchronization mechanism was employed to achieve cycle accurate simulation for optical electrical on chip interconnects. A multithreading mechanism was implemented to extend the simulation scale from 16 node to 256 node. The experimental results show that the proposed simulation approach can analyze the network performance of 256 node systems, in terms of network power consumption and latency. The cross layer simulation solution can explore the design space of optical electrical on chip networks architecture.



Published: 01 November 2015
CLC:  TP 302  
Cite this article:

YU Ji yang, LIU Peng, HUA Xing cheng, MA Xiang, YANG Jian yi. Simulation approach of hybrid optical electrical on chip interconnects for multicore systems. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2015, 49(11): 2214-2222.

URL:

http://www.zjujournals.com/eng/10.3785/j.issn.1008 973X.2015.11.025     OR     http://www.zjujournals.com/eng/Y2015/V49/I11/2214


片上光电互连的多核系统仿真方法

为了攻克多核系统的光电互连网络模拟的仿真精度、支持多核系统的整体系统仿真、对多核光电互连结构和处理器微结构进行量化研究和评估,提出一种面向多核光电互连系统的仿真方案.对光电器件进行物理层精确建模,实现器件库与功能模型、时序模型和成本模型协同工作;采用周期同步机制,实现多核系统光电互连网络的周期级精确仿真;通过多线程扩展机制,支持16至256核多核系统的快速定量评估.结果表明,面向多核光电互连系统的跨层仿真方案可以分析256核情况下不同分簇大小的网络功耗、时延等性能,可探索光电互连网络体系结构的设计空间.

[1] CHAN J, HENDRY G, BIBERMAN A, et al. Phoenixsim: A simulator for physical layer analysis of chip scale photonic interconnection networks [C]∥Proceedings of the Conference on Design, Automation and Test in Europe. Dresden, Germany: European Design and Automation Association, 2010: 691-696.
[2] SUN C, CHEN C H, KURIAN G, et al. DSENT : a tool connecting emerging photonics with electronics for opto electronic networks on chip modeling [C]∥Proceedings of the 6th IEEE/ACM International Symposium on Networks on Chip. Lyngby, Denmark: IEEE, 2012: 201-210.
[3] MILLER J E, KASTURE H, KURIAN G, et al. Graphite: A distributed parallel simulator for multicores [C]∥Proceedings of the16th International Symposium on High Performance Computer Architecture. Bangalore, India: IEEE, 2010: 1-12.
[4] CHIEN A A. A cost and speed model for k ary n cube wormhole routers [J]. Urbana, 1993, 51: 61-801.
[5] KAHNG A B, LI B, PEH L S, et al. Orion 20: A fast and accurate noc power and area model for early stage design space exploration [C]∥Proceedings of the Conference on Design, Automation and Test in Europe. Nice, France: European Design and Automation Association, 2009: 423-428.
[6] LI S, AHN J H, STRONG R D, et al. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures [C]∥Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. New York, United State: IEEE, 2009: 469-480.
[7] BRIERE M, DROUARD E, MIEYEVILLE F, et al. Heterogeneous modelling of an optical network on chip with SystemC [C]∥Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping. Montreal, Canada: IEEE, 2005: 10-16.
[8] KODI A K, LOURI A. Optisim: A system simulation methodology for optically interconnected HPC systems [J]. Micro, IEEE, 2008, 28 (5): 22-36.
[9] OCONNOR I, TISSAFI DRISSI F, GAFFIOT F, et al. Systematic simulation based predictive synthesis of integrated optical interconnect [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2007, 15 (8): 927-940.
[10] VANTREASE D, SCHREIBER R, MONCHIERO M, et al. Corona: System implications of emerging nanophotonic technology [J]. ACM SIGARCH Computer Architecture News, 2008, 36 (3): 153-164.
[11] PSOTA J, MILLER J, KURIAN G, et al. ATAC: Improving performance and programmability with on chip optical networks [C]∥Proceedings of 2010 IEEE International Symposium on Circuits and Systems. Paris, France: IEEE, 2010: 3325-3328.
[12] AUSTIN T, LARSON E, ERNST D. SimpleScalar: An infrastructure for computer system modeling [J]. Computer, 2002, 35 (2): 59-67.
[13] XUE J, GARG A, CIFTCIOGLU B, et al. An intra chip free space optical interconnect [C]∥Proceedings of the 37th Annual International Symposium on Computer Architecture. Saint Malo, France: ACM, 2010: 94-105.
[14] TRAN C, ANYANWU C, BALAKRISHNAN S, et al. The MIPS32 24KE Core Family: High Performance RISC Cores with DSP Enhancements[R].Sunnyvale, United States: M. Technologies, 2005.
[15] SHANG L. POPNET simulator [EB/OL]. [2015 08 31]. http://www.sanjuansw.com/pub/SJS%20125 300 13%20PopNet2%20Data%20Sheet.pdf.
[16] YU J Y, LIU P, WANG W D, et al. An efficient protocol with synchronization accelerator for multi processor embedded systems [J]. Parallel Computing, 2013, 39 (9): 461474.
[17] BUTENHOF D R. Programming with POSIX threads [M]. Indianapolis, United State: Addison Wesley Professional. 1997: 35-44.
[18] MA X, YU J, HUA X, et al. LioeSim: a network simulator for hybrid opto electronic networks on chip analysis [J]. Journal of Lightwave Technology, 2014, 32 (22): 3699-3708.
[19] BARWICZ T, BYUN H, GAN F, et al. Silicon photonics for compact, energy efficient interconnects [J]. Journal of Optical Networking, 2007, 6 (1): 63-73.
[20] LI Z, ZHOU L, HU Y, et al. CMOS compatible silicon based Mach Zehnder optical modulators with improved extinction ratio [C]∥Proceedings of the International Photonics and Optoelectronics Meetings. Wuhan: International Society for Optics and Photonics, 2011: 833305-833306.
[21] YANG M, GREEN W M, ASSEFA S, et al. Non blocking 4x4 electro optic silicon switch for on chip photonic networks [J]. Optics express, 2011, 19 (1): 47-54.
[22] KURIAN G, MILLER J E, PSOTA J, et al. ATAC: a 1000 core cache coherent processor with on chip optical network [C]∥Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques. Vienna, Austria: ACM, 2010: 477-488.
[23] WOO S C, OHARA M, TORRIE E, et al. The SPLASH 2 programs: Characterization and methodological considerations [C]∥Proceedings of the 22nd annual international symposium on Computer architecture. Santa Margherita Ligure, Italy: ACM, 1995: 24-36.
[24] BIENIA C, KUMAR S, SINGH J P, et al. The PARSEC benchmark suite: characterization and architectural implications [C]∥Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. Toronto, Canada: ACM, 2008: 72-81.

[1] JI Chang qing, YU Sheng, WANG Bao feng, TAO Shuai . Bichromatic reverse nearest neighbor query algorithm in environment of mobile cloud computing[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2016, 50(7): 1330-1337.
[2] YE Xia, XIN Yuan, LIU Yong, LIU Peng. Stream Prefetcher based on MediaDSP[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2014, 48(2): 268-278.
[3] QUAN Li, CHENG Ai-lian, PAN Yun, DING Yong, YAN Xiao-lang. Bypassed channels based differentiated service implementation method for network-on-chip[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2013, 47(6): 957-968.
[4] SU Cheng, YU Wei-bin, NI Guang-yi, HUANG Zhi-cai,TAO Chun-hui, ZHANG Xiao-c. Display and control system for deep water multi-beam bathymetric side-scan sonar[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2013, 47(6): 934-943.
[5] ZHANG Zhen, LI Shan-ping. DVFS-aware CPU service time estimation method[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2012, 46(4): 725-733.
[6] CAO Xiao-yang, PAN Yun, YAN Xiao-lang, HUAN Ruo-hong. Systolic structure for DCT with low area-time complexity[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(4): 656-659.
[7] FU Chao-yang, GAO Ji, ZHOU You-ming. ATCL:formalization tool for commitment-based agent organization[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(4): 627-636.
[8] XU Hong-ming, MENG Jian-yi, YAN Xiao-lang, GE Hai-tong. Translation look-aside buffer design method based on
cache resource reusing
[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(3): 462-466.
[9] HUANG Xue-wei, ZHANG Pei-yong, LV Dong-ming, ZHENG Dan-dan, YAN Xiao-lang. Fast setup time characterization of static random access memory
 based on search-delay
[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(3): 445-450.
[10] GONG Shuai-shuai, WU Xiao-bo, MENG Jian-yi, DING Yong-lin. Linking history based low-power instruction cache[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(3): 467-471.
[11] DING Yong, SUN Gang-de, Yan Xiao-lang. A hybrid motion-compensated de-interlace with
adaptive interpolation
[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2011, 45(2): 323-329.
[12] DING Yong, WANG Xiang, YAN Xiao-Lang. Edge adaptive four-point piecewise parabolic scaler implementation[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2010, 44(9): 1637-1642.
[13] CA Wei-Guang, TAO Qiang-Dong, LIU Feng, et al. Optimization of data forwarding based on early write-back strategy[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2010, 44(1): 75-80.
[14] HUANG Jiang-Wei, HU Wei, XIANG Ling-Xiang, et al. Power aware embedded  software and hardware design driven by battery model[J]. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2009, 43(12): 2149-2154.